Electronics Pick-up by Akira Fukuda

日本で2番目に(?)半導体技術に詳しいライターのブログ

当ブログではアフィリエイト広告を利用しています

2020-01-01から1年間の記事一覧

コラム「セミコン業界最前線」を更新。「IEDM 2020のMRAM発表まとめレポート」

PC Watch様から頂いておりますコラム「セミコン業界最前線」を更新しました。 pc.watch.impress.co.jp IEDM 2020のMRAM技術発表まとめレポートです。すべて埋め込みMRAM(eMRAM)の研究成果です。埋め込みMRAMは当初、フラッシュメモリ内蔵マイコン(フラッ…

コラム「デバイス通信」を更新。「EUVリソグラフィを補完する自己組織化リソグラフィ」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。シリーズ「オンチップ多層配線技術」の第14回です。 eetimes.jp EUVリソグラフィと自己組織化リソグラフィ(DSAリソグラフィ)を組み合わせると、 回路パターンの線幅とエッジの寸…

コラム「デバイス通信」を更新。「自己組織化リソグラフィによる微細な配線パターンの形成」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。シリーズ「オンチップ多層配線技術」の第13回です。 eetimes.jp 自己組織化リソグラフィ(DSAリソグラフィ)による平行配線パターン形成の工程を説明しています。ArF液浸リソグラフ…

コラム「デバイス通信」を更新。「露光技術の微細化限界を突破する自己組織化技術」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。シリーズ「オンチップ多層配線技術」の第12回です。 eetimes.jp 今回から次世代リソグラフィ技術の1つである「自己組織化リソグラフィ」のパートを説明していきます。 「誘導自己組…

コラム「デバイス通信」を更新。「多層配線の性能を向上させるエアギャップと2次元材料」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。シリーズ「オンチップ多層配線技術」の第11回です。eetimes.jp 多層配線の性能を向上させる2つの要素技術を紹介しています。1つは絶縁膜の誘電率を下げる「エアギャップ」技術です…

コラム「デバイス通信」を更新。「多層配線のアスペクト比(AR)を高める2つの要素技術」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。シリーズ「オンチップ多層配線技術」の第10回です。 eetimes.jp 配線のアスペクト比(AR)を高める要素技術を紹介しています。標準的なプロセスだと、ARは3くらい。これをさらに高…

コラム「デバイス通信」を更新。「多層配線のアスペクト比と抵抗および容量の関係」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。シリーズ「オンチップ多層配線技術」の第9回です。 eetimes.jp 多層配線のアスペクト比(AR)と抵抗および容量の関係を論じています。 ARが一定だと、抵抗と容量はトレードオフにな…

コラム「セミコン業界最前線」を更新。「バーチャル開催となったIEDM 2020のプレビュー」

PC Watch様から頂いておりますコラム「セミコン業界最前線」を更新しました。 pc.watch.impress.co.jp 半導体デバイス技術とプロセス技術の国際学会IEDM 2020のプレビューです。 今年の春以降に開催された国際学会と同様に、バーチャルカンファレンスとなり…

コラム「デバイス通信」を更新。「多層配線のアスペクト比を定義する」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。シリーズ「オンチップ多層配線技術」の第8回です。 eetimes.jp アスペクト比は一般的には縦と横の比率のことです。 多層配線では、配線断面の高さと幅の比率となります。ただし、高…

コラム「デバイス通信」を更新。「銅配線の微細化限界を拡張するサブトラクティブ技術」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。シリーズ「オンチップ多層配線技術」の第7回です。 eetimes.jpダマシン技術には、バリア層やライナー層などによって配線の面積が小さくなってしまうという問題があります。その点、…

コラム「デバイス通信」を更新。「銅配線の微細化限界を左右するダマシン技術」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。シリーズ「オンチップ多層配線技術」の第6回です。 eetimes.jp 多層配線のパターン形成技術である、ダマシン技術とサブトラクティブ技術を説明しています。半導体集積回路が量産さ…

コラム「デバイス通信」を更新。「多層配線の微細化と性能向上を両立させる要素技術」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。新シリーズ「オンチップ多層配線技術」の第5回です。 eetimes.jp 大規模高性能ロジックの多層配線は単純に微細化すると、性能が低下します。 そこで微細化と性能向上を両立させる技…

コラム「セミコン業界最前線」を更新。「ストレージの主役がHDDからSSDに交代」

PC Watch様から頂いておりますコラム「セミコン業界最前線」を更新しました。 pc.watch.impress.co.jp 今回はセミコンではなく、ストレージの話題です。 2020年第2四半期の出荷台数(実績)で、ついにSSDがHDDを追い抜きました。 平均単価ではSSDがHDDの約7…

コラム「デバイス通信」を更新。「銅配線の微細化と静電容量の増大」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。新シリーズ「オンチップ多層配線技術」の第4回です。 eetimes.jp 銅(Cu)配線の寸法と静電容量の関係を説明しております。 すべての寸法を比例縮小すると、静電容量の値は変わらな…

コラム「ストレージ通信」を続けて更新。「HDD大手Western Digitalの四半期業績」

EETimes Japan様から頂いておりますコラム「ストレージ通信」を続けて更新しました。 eetimes.jp HDD大手およびNANDフラッシュ大手のWestern Digitalが発表した四半期業績の概要です。ざっくり言うと、エンタープライズ向けが不調、クライアント向けが好調で…

コラム「ストレージ通信」を久々に更新。「HDD大手のSeagateの四半期業績」

EETimes Japan様から頂いておりますコラム「ストレージ通信」を久々に更新しました。eetimes.jp HDD大手Seagate Technologyが四半期業績を発表しました。売上高と営業利益は前期比と前年同期比のいずれもマイナスでした。 あまり芳しくないです。ニアラインH…

コラム「セミコン業界最前線」を更新。「IntelのNANDフラッシュメモリ関連事業をSK Hynixが買収」

PC Watch様から頂いておりますコラム「セミコン業界最前線」を更新しました。 pc.watch.impress.co.jp 筆者は10年以上前から、「Intelはフラッシュから撤退する」と予言していたのですが、やっと的中(?)しました(爆)。 10数年も経過していては、もはや…

コラム「デバイス通信」を更新。「銅配線の微細化と抵抗値の増大」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。新シリーズ「オンチップ多層配線技術」の第3回です。 eetimes.jp 銅(Cu)配線の寸法と電気抵抗の関係を説明しております。 微細化すると断面積が小さくなり、抵抗値が上昇する。 …

コラム「デバイス通信」を更新。「ムーアの法則の維持に貢献する配線技術」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。新シリーズ「オンチップ多層配線技術」の第2回です。eetimes.jp 配線技術が半導体チップに与える影響をます論じています。 それから、将来の配線技術に導入される要素技術の候補を…

コラム「デバイス通信」を更新。新シリーズ「オンチップの多層配線技術」を開始

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。 eetimes.jp 新シリーズ「オンチップの多層配線技術」を始めました。IntelがVLSIシンポジウムのショートコースで講演した内容が基になっております。多層配線の基本から将来技術ま…

コラム「セミコン業界最前線」を更新。「キオクシアホールディングスの上場延期」

PC Watch様から頂いておりますコラム「セミコン業界最前線」を久々に更新しました。 pc.watch.impress.co.jp キオクシアホールディングス(キオクシアの持ち株会社)の上場スキームと、土壇場での上場延期を解説しています。 個人的には、収益が不安定なこの…

コラム「デバイス通信」を更新。「実装設備間の次世代通信規格SEMI SMT-ELS(後編)」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。 eetimes.jp 実装技術ロードマップの内容紹介シリーズ、その第87回となります。シリーズの最終回です。 トピックスとして、実装設備間と実装設備・ホスト間を結ぶ次世代通信規格「S…

コラム「デバイス通信」を更新。「実装設備間の次世代通信規格SEMI SMT-ELS(前編)」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。 eetimes.jp 実装技術ロードマップの内容紹介シリーズ、その第86回となります。 トピックスとして、実装設備間と実装設備・ホスト間を結ぶ次世代通信規格「SEMI SMT-ELS」を紹介し…

コラム「デバイス通信」を更新。「実装設備が目指す方向と今後の課題」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。 eetimes.jp 実装技術ロードマップの内容紹介シリーズ、その第85回となります。 実装設備が目指す方向と今後の課題について説明しています。実装設備が目指す方向のテーマは2つ。1…

コラム「デバイス通信」を更新。「ユーザーへのアンケート調査:封止材料に対する要求」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。 eetimes.jp 実装技術ロードマップの内容紹介シリーズ、その第84回となります。 封止材料(アンダーフィルなど)への要求をユーザーにアンケートしたい結果を報告しております。要…

コラム「デバイス通信」を更新。「ユーザーへのアンケート調査:接合材料に対する要求」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。 eetimes.jp 実装技術ロードマップの内容紹介シリーズ、その第83回となります。 接合材料(はんだペーストや導電性接着剤など)への要求をユーザーにアンケートしたい結果を報告し…

コラム「デバイス通信」を更新。「実装設備が対応すべきプリント配線板と部品供給方式のロードマップ」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。 eetimes.jp 実装技術ロードマップの内容紹介シリーズ、その第82回となります。 第6章第4節の実装設備が対応すべきプリント配線板と部品供給方式のロードマップ(2028年まで)を記…

コラム「デバイス通信」を更新。「実装設備が対応すべき最先端のチップ部品と半導体パッケージ」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。eetimes.jp 実装技術ロードマップの内容紹介シリーズ、その第81回となります。 第6章の「実装設備」が続いております。この回からは、第4章の実装設備が対応すべき最先端のチップ部…

コラム「デバイス通信」を更新。「実装設備ユーザーへのアンケート調査:チップボンダに対する要求」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。 eetimes.jp eetimes.jp実装技術ロードマップの内容紹介シリーズ、その第80回となります。 第6章の「実装設備」に入っております。実装設備ユーザーへのアンケート調査を実施した、…

コラム「デバイス通信」を更新。「実装設備ユーザーへのアンケート調査:検査機に対する要求」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。 eetimes.jp 実装技術ロードマップの内容紹介シリーズ、その第79回となります。 第6章の「実装設備」に入っております。実装設備ユーザーへのアンケート調査を実施した、その結果を…