Electronics Pick-up by Akira Fukuda

日本で2番目に(?)半導体技術に詳しいライターのブログ

当ブログではアフィリエイト広告を利用しています

エレクトロニクス企業の動向

コラム「デバイス通信」を更新。「表面実装型電子部品(SMD部品)の開発動向(前編)」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。 シリーズ「2022年度版 実装技術ロードマップ」の第73回となります。前回から「第4章 電子部品」に入っております。その第2回です。インダクタと積層セラミックコンデンサ、チップ…

コラム「デバイス通信」を更新。「表面実装型電子部品(SMD部品)の小型化トレンド」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。 シリーズ「2022年度版 実装技術ロードマップ」の第72回となります。前回で「第3章 電子デバイスパッケージ」が完了ました。今回から「第4章 電子部品」に入ります。eetimes.itmedi…

コラム「デバイス通信」を更新。「プロセッサやメモリなどの進化を支えるパッケージ基板」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。 シリーズ「2022年度版 実装技術ロードマップ」の第71回となります。eetimes.itmedia.co.jpテーマはパッケージ基板です。半導体パッケージを初代(第1世代)からたどると、 第1世代…

コラム「デバイス通信」を更新。「プリント基板の「弁当箱」からパッケージとチップまで、電磁シールド技術が進化」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。 シリーズ「2022年度版 実装技術ロードマップ」の第70回となります。eetimes.itmedia.co.jpテーマは電磁シールドです。伝導雑音対策にはフェライトビーズ、インダクタンス、コモン…

ISSCCイベントレポート「今年6月開催のVLSIシンポジウム、関係者がIEDM 2023に続きISSCC 2024にも乱入」

米国サンフランシスコで開催中の国際学会「ISSCC」現地レポートをPC Watch様に掲載していただきました。pc.watch.impress.co.jp6月にハワイで開催予定の国際学会「VLSIシンポジウム」の概要に関するプレスブリーフィングの内容です。 昨年12月の国際学会IEDM…

コラム「セミコン業界最前線」を更新。「前年とほぼ同じ時空間に30件を超える講演を追加したISSCCの「高密度実装技術」」

PC Watch様から頂いておりますコラム「セミコン業界最前線」を更新しました。 サンフランシスコで始まった国際学会ISSCCの初日レポートとなります。 とはいうものの、内容は筆者の個人的な趣味が全開の与太話です。技術の話題は全然ありません。pc.watch.imp…

コラム「セミコン業界最前線」を更新。「ISSCC 2024の発表論文数から見る、日の丸半導体復活への兆し」

PC Watch様から頂いておりますコラム「セミコン業界最前線」を更新しました。 サンフランシスコで始まる国際学会ISSCCの前日レポートとなります。前半は前日の現地を写真で報告しています。pc.watch.impress.co.jp 後半は主に日本からの発表を取り上げており…

コラム「デバイス通信」を更新。「シリコンダイを光や熱、ホコリ、機械衝撃などから保護する樹脂封止技術」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。お知らせが遅れてすみません。 シリーズ「2022年度版 実装技術ロードマップ」の第69回となります。eetimes.itmedia.co.jp 樹脂封止技術のロードマップを紹介しております。 アンダ…

コラム「デバイス通信」を更新。「ダイボンディングと電極ボンディングで半導体チップを外部とつなぐ」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。 シリーズ「2022年度版 実装技術ロードマップ」の第68回となります。eetimes.itmedia.co.jp パッケージング工程(後工程)の解説部分を簡単に紹介しています。 今回はダイボンディ…

コラム「セミコン業界最前線」を更新。「世界半導体市場、2023年の2ケタ減から2024年の2ケタ増へ急回復」

PC Watch様から頂いておりますコラム「セミコン業界最前線」を更新しました。 年初恒例の世界半導体市場動向です。2023年のまとめ(推定値)と2024年の予測を業界団体や市場調査会社などのデータから解説しております。pc.watch.impress.co.jp2023年の世界半…

コラム「ストレージ通信」を続けて更新。「HDD大手Western Digitalの四半期業績、売上高が2四半期連続で上昇」

EETimes Japan様から頂いておりますコラム「ストレージ通信」を久々に更新しました。 HDD大手2社、すなわちSeagateとWDの四半期業績が公表されたので、まとめ記事を上梓しております。 前回はSeagate編、今回がWD編です。WDは前期比の売り上げは増加に転じて…

コラム「ストレージ通信」を更新。「HDD大手Seagateの四半期業績、8四半期振りに前期比の売上高が上昇」

EETimes Japan様から頂いておりますコラム「ストレージ通信」を久々に更新しました。 HDD大手2社、すなわちSeagateとWDの四半期業績が公表されたので、まとめ記事を上梓しております。 今回はSeagate編です。ようやく回復が始まりつつあるようにみえます。ee…

コラム「デバイス通信」を更新。「回路形成済みウエハーの裏面研削とダイシング」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。 シリーズ「2022年度版 実装技術ロードマップ」の第67回となります。eetimes.itmedia.co.jpパッケージング工程(後工程)の解説部分を簡単に紹介しています。 今回はウエハーの裏面…

コラム「セミコン業界最前線」を続けて更新。「「NVIDIA無双」で順位が激変した2023年の半導体ランキング」

PC Watch様から頂いておりますコラム「セミコン業界最前線」を更新しました。 年初恒例の半導体売上高ランキング、2023年版です。今回はTechInsightsに買収されたIC Insightsが活動を再開し、ランキング上位25社を公表しました。前年に比べると充実した内容…

コラム「セミコン業界最前線」を更新。「3D XPointを超える大容量メモリ技術「セレクタオンリーメモリ」」

PC Watch様から頂いておりますコラム「セミコン業界最前線」を更新しました。 昨年12月に開催された国際学会IEDM(米国サンフランシスコ)ほかの研究発表からまとめた大容量不揮発性メモリ技術の解説です。pc.watch.impress.co.jp3次元クロスポイントメモリ…

コラム「デバイス通信」を更新。「半導体チップの高密度3次元積層を加速するハイブリッド接合」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。 シリーズ「2022年度版 実装技術ロードマップ」の第66回となります。eetimes.itmedia.co.jp今回からパッケージ組み立て技術を解説している章節に入ります。 始めは「ハイブリッドボ…

コラム「デバイス通信」を更新。「エリアアレイ表面実装パッケージ(BGA)のロードマップ」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。 シリーズ「2022年度版 実装技術ロードマップ」の第65回となります。eetimes.itmedia.co.jp今回は従来型の表面実装パッケージを取り上げています。 QFN、QFP、SOPといった本体周辺…

コラム「セミコン業界最前線」を更新。「過去最も狭き門となったISSCC 2024、Zen4cやHBM3Eなど、次世代プロセッサとメモリの開発成果が集結」

PC Watch様から頂いておりますコラム「セミコン業界最前線」を更新しました。 2月中旬に米国サンフランシスコで開催予定の国際学会「ISSCC(国際固体回路会議)」のプレビュー解説です。pc.watch.impress.co.jpタイトルにもある通り、投稿論文数は過去最多(…

コラム「デバイス通信」を更新。「4Gから5Gミリ波の移動体通信向けフロントエンドパッケージ(後編)」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。 シリーズ「2022年度版 実装技術ロードマップ」の第64回となります。eetimes.itmedia.co.jp 4G、5Gサブ6、5Gミリ波の移動体通信端末に載せる フロントエンドモジュール(FEM)のパ…

最先端ソフトウェア開発企業フィックスターズ様のウエブサイトに寄稿しました「フラッシュメモリを使った代表的なストレージ」

最先端ソフトウェア開発企業のフィックスターズ様に解説記事を寄稿しました。 フラッシュメモリを使ったストレージとコントローラを説明する基礎講座です。 想定読者は「超初心者(大学文系)」なので、フラッシュストレージに詳しい方には物足りないと思い…

コラム「デバイス通信」を更新。「4Gから5Gミリ波の移動体通信向けフロントエンドパッケージ(前編)」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。 シリーズ「2022年度版 実装技術ロードマップ」の第63回となります。前回は車載パワーデバイスがテーマでした。今回は打って変わって5Gの高周波パッケージです。前後編(回数重視)…

コラム「デバイス通信」を更新。「車載パワーデバイスの出力密度向上手法」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。 シリーズ「2022年度版 実装技術ロードマップ」の第62回となります。前回から間が離れてしまったので、今回は分量多めとなっております。テーマは車載パワーデバイスの出力密度向上…

コラム「セミコン業界最前線」を更新。「2030年に1,000層の「超高層セル」を実現するSamsungの3D NAND技術」

PC Watch様から頂いておりますコラム「セミコン業界最前線」を更新しました。米国サンフランシスコで昨年12月に開催された国際電子デバイス会議ことIEDMで 半導体メモリ大手のSamsung Electronicsが3D NANDフラッシュの高層化(ワード線の積層数を増やすこと…

コラム「セミコン業界最前線」を更新。「3D XPointメモリの全体像、ようやく明らかに。Micronが第2世代の技術概要を公表」

PC Watch様から頂いておりますコラム「セミコン業界最前線」を更新しました。 米国サンフランシスコで始まった国際電子デバイス会議ことIEDMで 半導体メモリ大手のMicron Technologyが第2世代 3D XPointメモリの技術概要を招待講演で明らかにしました。 共同…

コラム「デバイス通信」を更新。「プリント基板に半導体チップを埋め込む部品内蔵基板」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。 シリーズ「2022年度版 実装技術ロードマップ」の第61回となります。eetimes.itmedia.co.jp第3章第3節第2項(3.3.2)「ウェハレベルパッケージ(WLP)、パネルレベルパッケージ(PL…

コラム「デバイス通信」を更新。「多ピン小型パッケージ「FO-WLP」の信頼性問題とその対策」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。 シリーズ「2022年度版 実装技術ロードマップ」の第60回となります。eetimes.itmedia.co.jp 第3章第3節第2項(3.3.2)「ウェハレベルパッケージ(WLP)、パネルレベルパッケージ(P…

コラム「セミコン業界最前線」を更新。「来年6月開催のVLSIシンポジウム、その概要がなぜかIEDM 2023で判明」

PC Watch様から頂いておりますコラム「セミコン業界最前線」を更新しました。 米国サンフランシスコで始まった国際電子デバイス会議ことIEDMの初日夕方に催された 非公式(インフォーマル)プレスブリーフィングからのレポートです。pc.watch.impress.co.jp …

コラム「セミコン業界最前線」を更新。「2,000名近い参加者と過去最高の投稿件数を集めた国際電子デバイス会議(IEDM)」

PC Watch様から頂いておりますコラム「セミコン業界最前線」を更新しました。 米国サンフランシスコで始まった国際電子デバイス会議ことIEDMの初日に催された プレスランチヨンからのレポートです。pc.watch.impress.co.jpIEDM実行委員会による見どころ紹介…

コラム「デバイス通信」を更新。「超多ピンと複数ダイ搭載を両立させた小型パッケージFO-WLP」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。 シリーズ「2022年度版 実装技術ロードマップ」の第59回となります。eetimes.itmedia.co.jp 第3章第3節第2項(3.3.2)「ウェハレベルパッケージ(WLP)、パネルレベルパッケージ(P…

コラム「デバイス通信」を更新。「回路形成済みウエハーの状態でダイをパッケージするWL-CSP」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。 シリーズ「2022年度版 実装技術ロードマップ」の第58回となります。eetimes.itmedia.co.jp第3章第3節第2項(3.3.2)「ウェハレベルパッケージ(WLP)、パネルレベルパッケージ(PL…