Electronics Pick-up by Akira Fukuda

日本で2番目に(?)半導体技術に詳しいライターのブログ

当ブログではアフィリエイト広告を利用しています

2020-01-01から1年間の記事一覧

2020 VLSI回路シンポジウムの概要と見どころ

2020 VLSI回路シンポジウム(回路シンポジウム)の概要と見どころをレポートした記事を、PC Watch様に掲載していただきました。 pc.watch.impress.co.jp 回路シンポジウムの投稿論文は最近、少しずつ減少しております。 CICC(カスタム集積回路学会)がVLSI…

2020 VLSI技術シンポジウムの概要と見どころ

2020 VLSI技術シンポジウム(技術シンポジウム)の概要と見どころをレポートした記事を、PC Watch様に掲載していただきました。pc.watch.impress.co.jp 技術シンポジウムは投稿論文の件数がここ10年ほどで最多となりました(たぶん、過去最多)。 半導体デバ…

コラム「セミコン業界最前線」を更新。「VLSIシンポジウムのプレビュー解説」

PC Watch様から頂いておりますコラム「セミコン業界最前線」を更新しました。pc.watch.impress.co.jp VLSIシンポジウムのプレビュー解説です。当初のハワイ開催がバーチャルカンファレンスとなりました。 日程はほぼ同じです。同じ週に技術講演がオープン(…

コラム「デバイス通信」を更新。実装技術ロードマップの第58回「ToFデバイス」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。 eetimes.jp 実装技術ロードマップのシリーズ、第58回となります。第4章「電子部品」の第5節「入出力デバイス」の概要紹介です。 ToFデバイス、タッチパネル、車載用ヒューマンマシ…

読売新聞の購読をやめて読売新聞に戻してみた

このエントリーには前回 affiliate-with.hatenablog.com があります。 古い話です。その後しばらくしてから読売新聞の購読をやめました。2014年のことです。 月に4000円の出費がきつくなってきたからです。 ニュースはインターネットでなんとかなると想像し…

コラム「デバイス通信」を更新。実装技術ロードマップの第57回「入出力デバイスの全体像」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。 eetimes.jp 実装技術ロードマップのシリーズを再開しました。第57回となります。第4章「電子部品」の第5節「入出力デバイス」の概要紹介を始めました。2019年度版のロードマップで…

コラム「デバイス通信」を更新。「2枚の半導体ダイを積層しながら、1.1mmと薄いフォトダイオードを実現」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。 eetimes.jp 前回( コラム「デバイス通信」を更新。「2種類の半導体を使って波長範囲を広げたフォトダイオード」 - Electronics Pick-up by Akira Fukuda )に続いてSiとINGaAsを…

コラム「デバイス通信」を更新。「2種類の半導体を使って波長範囲を広げたフォトダイオード」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。 eetimes.jp 実装技術ロードマップのシリーズをいったん休んで、番外編を2回やります。 テーマはいずれもフォトダイオードです。光吸収端の異なる2種類の半導体をつかて波長範囲を…

コラム「セミコン業界最前線」を更新。「国際メモリワークショップ(IMW 2020)閉会とIntelの3D NAND講演」

PC Watch様から頂いておりますコラム「セミコン業界最前線」を更新しました。pc.watch.impress.co.jp 5月17~20日にバーチャルカンファレンスとして開催された「国際メモリワークショップ(IMW 2020)」レポート続編です。閉会挨拶と、Intelの3D NANDフラッ…

コラム「セミコン業界最前線」を更新。「国際メモリワークショップ(IMW 2020)開会とMicronのDRAM講演」

PC Watch様から頂いておりますコラム「セミコン業界最前線」を更新しました。 pc.watch.impress.co.jp 5月17日から始まった国際学会「国際メモリワークショップ(IMW 2020)」のレポートです。 当初はドイツのドレスデンで開催する予定でした。 しかしCOVID-…

コラム「デバイス通信」を更新。実装技術ロードマップの第56回「10年後の車載コネクタ」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。 eetimes.jp 実装技術ロードマップの概要紹介シリーズの第56回です。 第53回から、第4章「電子部品」の第4節「コネクタ」の概要をご紹介しております。車載セーフティ用コネクタ(…

コラム「セミコン業界最前線」を更新。「再現しない不良(NTF)を高い効率で取り除く」

PC Watch様から頂いておりますコラム「セミコン業界最前線」を更新しました。 pc.watch.impress.co.jp 前作( ]pc.watch.impress.co.jp)の続きです。 不再現(NTF)となる不良ダイをあらかじめ取り除くための手法がいくつか紹介されます。 合わせてお読みい…

コラム「デバイス通信」を更新。実装技術ロードマップの第55回「車載カメラとカメラ用コネクタ」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。 eetimes.jp 実装技術ロードマップの概要紹介シリーズの第55回です。 前々回から、第4章「電子部品」の第4節「コネクタ」の概要をご紹介しております。 今回は自動車が搭載している…

コラム「デバイス通信」を更新。実装技術ロードマップの第54回「車載用コネクタ」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。 eetimes.jp 実装技術ロードマップの概要紹介シリーズの第54回です。 前回から、第4章「電子部品」の第4節「コネクタ」の概要をご紹介しております。今回は自動車のエレクトロニク…

コラム「セミコン業界最前線」を更新。「半導体メーカーの標準的なテストでは見つからない「潜在不良」」

PC Watch様から頂いておりますコラム「セミコン業界最前線」を更新しました。pc.watch.impress.co.jp 国際信頼性物理シンポジウム(IRPS)が今年はバーチャルカンファレンスとなったので、 発表を取材しました。そこで「不再現」に関する研究発表があったの…

コラム「ストレージ通信」を久々に更新。「HDD大手Western Digitalの四半期業績」

EETimes Japan様から頂いておりますコラム「ストレージ通信」を続けて更新しました。eetimes.jpHDD大手ベンダーの四半期業績をレポートするシリーズ。今回はWestern Digital(WD)です。WDの売り上げの半分近くはフラッシュ応用品なので、HDD専業ではありま…

コラム「ストレージ通信」を久々に更新。「HDD大手Seagateの四半期業績」

EETimes Japan様から頂いておりますコラム「ストレージ通信」を久々に更新しました。 eetimes.jp HDDの大手ベンダーであるSeagate Technologyの四半期業績です。4月22日に発表されました。新型コロナウイルス禍にも関わらず、良好な結果でした。 特にニアラ…

NANDフラッシュの技術参考書(同人誌)、好評発売中です(宣伝です)

筆者が執筆しましたNANDフラッシュメモリの技術参考書(同人誌)を好評発売中です。通信販売(BOOTH)で購入できます。 こちらは初心者向け入門書となります。「3次元フラッシュメモリの基礎知識」 cozyclearworks.booth.pm こちらは入門書を読了した方に向…

コラム「デバイス通信」を更新。実装技術ロードマップの第53回「コネクタ第1回(分類と用途)」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。eetimes.jp 実装技術ロードマップの概要紹介シリーズの第53回です。 今回から、第4章「電子部品」の第4節「コネクタ」の概要をご紹介していきます。始めはコネクタの定義と分類、主…

コラム「セミコン業界最前線」を更新。「元フリースケール社長の高橋氏が光デバイス企業の社長に就任」

PCWatch様から頂いておりますコラム「セミコン業界最前線」を更新しました。pc.watch.impress.co.jp インテル、フリースケール・セミコンダクタ・ジャパン、ルネサス エレクトロニクスなどで経営幹部を歴任してきた 高橋恒雄氏が、光半導体デバイスメーカー…

コラム「デバイス通信」を更新。実装技術ロードマップの第52回「センサ第8回(超音波センサー)」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。eetimes.jp実装技術ロードマップの概要紹介シリーズの第52回です。 第4章「電子部品」の第3節「センサ」の第8回となります。今回は超音波センサーを解説しています。お手すきのとき…

コラム「デバイス通信」を更新。実装技術ロードマップの第51回「センサ第7回(代表的なMEMSセンサー(後編))」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。eetimes.jp 実装技術ロードマップの概要紹介シリーズの第51回です。 第4章「電子部品」の第3節「センサ」の第7回となります。代表的なMEMSセンサーを前後編で解説しております。今…

コラム「デバイス通信」を更新。実装技術ロードマップの第50回「センサ第6回(代表的なMEMSセンサー(前編))」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。 eetimes.jp 実装技術ロードマップの概要紹介シリーズの第50回です。 第4章「電子部品」の第3節「センサ」の第6回となります。代表的なMEMSセンサーを前後編で解説しております 登…

コラム「セミコン業界最前線」を更新。「新型コロナウイルスの影響で半導体市場予測の下方修正が相次ぐ」

PC Watch様から頂いておりますコラム「セミコン業界最前線」を更新しました。 pc.watch.impress.co.jp メモリ価格の低下でマイナス12%と落ち込んだ2019年の世界半導体市場。 2020年はメモリ価格が反転し、2桁近い成長が期待されていました。しかしCOVID-16…

コラム「デバイス通信」を更新。実装技術ロードマップの第49回「センサ第5回(自動運転を支えるMEMSセンサー)」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。 eetimes.jp 実装技術ロードマップの概要紹介シリーズの第49回です。 第4章「電子部品」の第3節「センサ」の第5回となります。自動運転システムを支えるMEMSセンサーを説明していま…

コラム「セミコン業界最前線」を更新。「「松下半導体」の60年を振り返る」

PC Watch様から頂いておりますコラム「セミコン業界最前線」を更新しました。 pc.watch.impress.co.jp 松下(パナソニック)が半導体事業を台湾企業に譲渡することを決めました。買収手続きの完了は2020年5月末と予定されています。 pc.watch.impress.co.jp …

コラム「デバイス通信」を更新。実装技術ロードマップの第48回「センサ第4回(車載センサー(後編))」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。 eetimes.jp 実装技術ロードマップの概要紹介シリーズの第48回です。 第4章「電子部品」の第3節「センサ」の第4回となります。自動車用センサー(車載センサー)の解説後編です。 …

コラム「デバイス通信」を更新。実装技術ロードマップの第47回「センサ第3回(車載センサー(前編))」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。 eetimes.jp 実装技術ロードマップの概要紹介シリーズの第47回です。 第4章「電子部品」の第3節「センサ」の第3回となります。自動車用センサー(車載センサー)の解説前編です。最…

コラム「デバイス通信」を更新。実装技術ロードマップの第46回「センサ第2回(MEMSセンサーの応用)」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。 eetimes.jp 実装技術ロードマップの概要紹介シリーズの第45回です。 第4章「電子部品」の第3節「センサ」の第2回となります。センサーの製造技術に大変革を起こしたMEMS技術を解説…

コラム「セミコン業界最前線」を更新。「「1TBで5,000円」のSSDを目指す将来世代のNANDフラッシュ」

PC Watch様から頂いておりますコラム「セミコン業界最前線」を更新しました。 pc.watch.impress.co.jp このまま3D NANDフラッシュの高密度化が続くと、近い将来に1TBが5000円という低価格SSDが登場するという予測です。 SSDベンダーの公称価格の4分の1に相当…