Electronics Pick-up by Akira Fukuda

日本で2番目に(?)半導体技術に詳しいライターのブログ

当ブログではアフィリエイト広告を利用しています

2021-01-01から1年間の記事一覧

コラム「デバイス通信」を更新。「高融点金属の多層配線技術が2nm以降のCMOSを実現」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。シリーズ「 imecが語る3nm以降のCMOS技術」の第22回となります。 前回から多層配線技術を解説しています。eetimes.itmedia.co.jp 銅(Cu)配線の限界に備えた、次世代配線技術の有…

コラム「デバイス通信」を更新。「3nm以降のCMOSロジックを支える配線技術」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。シリーズ「 imecが語る3nm以降のCMOS技術」の第21回となります。今回から多層配線技術がテーマとなります。 eetimes.itmedia.co.jp 技術ノードの寸法名が7nm、5nm、3nm、2nmと縮小…

コラム「デバイス通信」をさらに更新。「10nm以下の微細ゲートを実現する2次元材料のトランジスタ技術」

EETimes Japan様から頂いておりますコラム「デバイス通信」を続けて更新しました。(すみません!! 寝ぼけて書いていたようで旧テキストが支離滅裂でした。全面改定しております)新シリーズ「 imecが語る3nm以降のCMOS技術」の第20回となります。 前回から…

コラム「デバイス通信」を久々に更新。「サブnm時代に向けた2次元材料のトランジスタ技術」

EETimes Japan様から頂いておりますコラム「デバイス通信」を久々に更新しました。新シリーズ「 imecが語る3nm以降のCMOS技術」の第19回となります。 今回から新しいパートに入ります。ポストシリコン材料のトランジスタ技術です。eetimes.itmedia.co.jp 2次…

コラム「ストレージ通信」をさらに更新。「HDD大手SeagateとWestern Digitalの年度業績」

EETimes Japan様から頂いておりますコラム「ストレージ通信」をさらに更新しました。HDD大手2社(Seagate TechnologyとWestern Digital(WD))の2021会計年度業績です。eetimes.itmedia.co.jp HDDビジネスを主体とするSeagateが、利益率が高い。でも売上高…

コラム「ストレージ通信」を続けて更新。「HDD大手Western Digitalの6月期四半期業績」

EETimes Japan様から頂いておりますコラム「ストレージ通信」を続けて更新しました。HDD大手2社が四半期業績を発表しました。その概要をご説明します。 前回はSeagate、今回がWestern Digital(WD)です。 eetimes.itmedia.co.jp WDは売り上げの半分がHDD、…

コラム「ストレージ通信」を久々に更新。「HDD大手Seagateの6月期四半期業績」

EETimes Japan様から頂いておりますコラム「ストレージ通信」を久しぶりに更新しました。HDD大手2社が四半期業績を発表しました。その概要をご説明します。 今回はSeagate、次回がWestern Digital(WD)となる予定です。 eetimes.itmedia.co.jp 業績はかなり…

コラム「デバイス通信」を更新。「次々世代のトランジスタ「シーケンシャルCFET」でシリコンの限界を突破(後編)」

EETimes Japan様から頂いておりますコラム「デバイス通信」を続けて更新しました。新シリーズ「 imecが語る3nm以降のCMOS技術」の第18回となります。 eetimes.itmedia.co.jp 前編はこちらです。次々世代のトランジスタ「シーケンシャルCFET」でシリコンの限…

コラム「デバイス通信」を更新。「次々世代のトランジスタ「シーケンシャルCFET」でシリコンの限界を突破(前編)」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。新シリーズ「 imecが語る3nm以降のCMOS技術」の第17回となります。eetimes.itmedia.co.jp シーケンシャルCFETはウエハーの貼り合わせで製造します。 このことは、nチャンネルとpチ…

新コラム「製造業異聞録」を「ビジネス+IT」で開始。「日本の半導体が1980年代に興隆した最大の理由は「運が良かった」から」

「ビジネス+IT」誌様から新コラムを受託しました。「製造業異聞録」です。www.sbbit.jp 今だから公表できる事実や、あまり知られていない事実を掘り起こすコラムとなります。 掲載頻度は、月に1回くらいを予定しています。 そして初回は、あの「日本の半導体…

コラム「デバイス通信」を更新。「次々世代のトランジスタ「シーケンシャルCFET」の課題」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。新シリーズ「 imecが語る3nm以降のCMOS技術」の第16回となります。eetimes.itmedia.co.jp前回に続いてシーケンシャルCFETの製造プロセスを扱っています。 今回はトップ側の製造プロ…

コラム「デバイス通信」を更新。「次々世代のトランジスタ「シーケンシャルCFET」の製造プロセス」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。新シリーズ「 imecが語る3nm以降のCMOS技術」の第15回となります。 eetimes.itmedia.co.jp コンプリメンタリFET(CFET)の製造プロセスの続きです。 シーケンシャルCFETの課題(ウ…

コラム「セミコン業界最前線」を久々に更新。「キオクシアがNANDフラッシュで6ビット/セルの超多値記憶を確認」

PC Watch様から頂いておりますコラム「セミコン業界最前線」を更新しました。ここのところ、新しいコラムを開発しておりまして。コラム開発の膨大な時間がかかってしまい、「セミコン業界・・・」の記事に取りかかれずにおりました。pc.watch.impress.co.jp3D…

コラム「デバイス通信」を更新。「次々世代のトランジスタ「モノリシックCFET」の製造プロセス」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。新シリーズ「 imecが語る3nm以降のCMOS技術」の第14回となります。 eetimes.itmedia.co.jp CFETの製造プロセスを扱っております。最初はモノリシック構造です。 お手すきのときにで…

コラム「デバイス通信」を更新。「次々世代のトランジスタ技術「コンプリメンタリFET」の構造と種類」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。新シリーズ「 imecが語る3nm以降のCMOS技術」の第13回となります。 eetimes.itmedia.co.jp 次々世代のトランジスタ技術「CFET」の構造をまず説明しています。それから、作り方(製…

コラム「デバイス通信」を更新。「コンプリメンタリFET(CFET)でCMOS基本セルの高さを半分に減らす」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。新シリーズ「 imecが語る3nm以降のCMOS技術」の第12回となります。eetimes.itmedia.co.jp 前回に続き、CFETの講演部分です。2nm世代以降の候補となります。いやもっと先かも。考え…

コラム「デバイス通信」を更新。「FinFETの「次の次」に来るトランジスタ技術」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。新シリーズ「 imecが語る3nm以降のCMOS技術」の第11回となります。eetimes.itmedia.co.jp ついに3次元CMOSロジックの登場となりました。実用化は早くても2nm世代以降。 もちろん使…

コラム「デバイス通信」を更新。「論理回路セルとSRAMセルを縮小するフォークシート構造」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。新シリーズ「 imecが語る3nm以降のCMOS技術」の第10回となります。eetimes.itmedia.co.jp ナノシート構造とフォークシート構造で、同じ機能の論理回路セルとSRAMセルの大きさを比較…

コラム「デバイス通信」を更新。「フォークシート構造のCMOSロジック製造プロセス」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。新シリーズ「 imecが語る3nm以降のCMOS技術」の第9回となります。eetimes.itmedia.co.jp今回はpチャンネルとnチャンネルのトランジスタ対(ペア)を製造する工程(ステップ)を説明…

コラム「デバイス通信」を更新。「フォークシート構造のトランジスタが次世代以降の有力候補である理由」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。新シリーズ「 imecが語る3nm以降のCMOS技術」の第8回となります。eetimes.itmedia.co.jp フォークシート構造の続きです。フォークシート構造は、CMOSロジックを構成するpチャンネル…

コラム「セミコン業界最前線」を更新。「IMW2021レポート、SamsungとGFが高密度の埋め込みフラッシュ技術を開発」

PC Watch誌から頂いておりますコラム「セミコン業界最前線」を更新しました。pc.watch.impress.co.jp半導体メモリの国際学会「国際メモリワークショップ(IMW)」のレポート第2報です。高密度の埋め込みフラッシュ技術の発表を紹介しています。1つは28nmのHK…

コラム「デバイス通信」を更新。「ナノシート構造を超える高い密度を実現するフォークシート構造」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。新シリーズ「 imecが語る3nm以降のCMOS技術」の第7回となります。 eetimes.itmedia.co.jp FinFET(フィンフェット)の次はナノワイヤ構造、あるいはナノシート構造のチャンネルを備…

コラム「デバイス通信」を更新。「フィンFET(FinFET)の次に来るトランジスタ技術」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。新シリーズ「 imecが語る3nm以降のCMOS技術」の第6回となります。eetimes.itmedia.co.jp フィンフェット(FinFET)の微細化は限界に来ると言われ続けてCMOSロジックは5nm世代もFinF…

コラム「セミコン業界最前線」を更新。「IMW2021レポート、富士通とソニーが次世代不揮発性メモリをそれぞれ開発」

PC Watch誌から頂いておりますコラム「セミコン業界最前線」を更新しました。pc.watch.impress.co.jp 半導体メモリの国際学会「国際メモリワークショップ(IMW)」のレポート初号です。 次世代不揮発性メモリで大きな講演が2つありました。1つは、富士通グル…

コラム「デバイス通信」を更新。「電源供給配線網(PDN)をシリコンダイの裏面に配置して電源をさらに安定化」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。新シリーズ「 imecが語る3nm以降のCMOS技術」の第5回となります。eetimes.itmedia.co.jp 基本セルの電源配線を基板側に埋め込むだけでなく、電源供給配線網(PDN)を基板裏面(シリ…

VLSIシンポジウム直前レポート「VLSI回路シンポジウム編」

PC Watch様に国際学会「VLSIシンポジウム」の直前レポートを掲載していただきました。 回路技術の研究成果を披露する「VLSI回路シンポジウム」の概要レポートとなります。pc.watch.impress.co.jp デバイス・プロセス技術の研究成果を披露する「VLSI技術シン…

VLSIシンポジウム直前レポート「VLSI技術シンポジウム編」

PC Watch様に国際学会「VLSIシンポジウム」の直前レポートを掲載していただきました。 始めはデバイス・プロセス技術の研究成果を披露する国際学会「VLSI技術シンポジウム」の直前レポートです。pc.watch.impress.co.jp 昨年に続いてオンライン開催(バーチ…

コラム「デバイス通信」を更新。「埋め込み電源配線の構造と材料選択」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。新シリーズ「 imecが語る3nm以降のCMOS技術」の第4回となります。eetimes.itmedia.co.jp埋め込み電源/接地配線(BPR)技術のさらに続きです。 とりあえずは構造説明のための略語と…

コラム「デバイス通信」を更新。「電源/接地線の埋め込みで回路ブロックの電圧降下を半分以下に低減」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。新シリーズ「 imecが語る3nm以降のCMOS技術」の第3回となります。eetimes.itmedia.co.jpCMOSロジックの基本セル(スタンダードセル)を縮小するために、電源/接地線を基板側に埋め…

コラム「デバイス通信」を更新。「CMOSロジックの高密度化を後押しする次世代の電源配線技術」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。新シリーズ「 imecが語る3nm以降のCMOS技術」の第2回となります。eetimes.itmedia.co.jpCMOSロジックの基本セル(スタンダードセル)を縮小する手法の変遷をたどっています。3nm世…