Electronics Pick-up by Akira Fukuda

日本で2番目に(?)半導体技術に詳しいライターのブログ

当ブログではアフィリエイト広告を利用しています

筆者の仕事

コラム「デバイス通信」を更新。「超多ピンと複数ダイ搭載を両立させた小型パッケージFO-WLP」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。 シリーズ「2022年度版 実装技術ロードマップ」の第59回となります。eetimes.itmedia.co.jp 第3章第3節第2項(3.3.2)「ウェハレベルパッケージ(WLP)、パネルレベルパッケージ(P…

コラム「デバイス通信」を更新。「回路形成済みウエハーの状態でダイをパッケージするWL-CSP」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。 シリーズ「2022年度版 実装技術ロードマップ」の第58回となります。eetimes.itmedia.co.jp第3章第3節第2項(3.3.2)「ウェハレベルパッケージ(WLP)、パネルレベルパッケージ(PL…

コラム「デバイス通信」を更新。「多様化するパッケージ技術がデバイスごとの特長を引き出す」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。 シリーズ「2022年度版 実装技術ロードマップ」の第57回となります。前回から「第3章 電子デバイスパッケージ」の内容紹介に入りました。 第2章よりは少ないものの、この章もかなり…

コラム「セミコン業界最前線」を更新。「技術革新を迫られるNANDフラッシュの高密度化」

PC Watch様から頂いておりますコラム「セミコン業界最前線」を更新しました。 3D NANDフラッシュメモリの開発動向を解説しています。NANDフラッシュが3次元化(3D化)して10年あまり。 そろそろ従来技術の限界が見えてきております。短期は現状の技術改良で…

コラム「デバイス通信」を更新。「電子機器の進化を支援する電子デバイスのパッケージ技術」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。 シリーズ「2022年度版 実装技術ロードマップ」の第56回となります。今回より、新章に入ります。「第3章 電子デバイスパッケージ」です。eetimes.itmedia.co.jp 最初なので、あまり…

コラム「デバイス通信」を更新。「パワーデバイスの温度上昇が接合と放熱構造の変革を促す」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。 シリーズ「2022年度版 実装技術ロードマップ」の第55回となります。 「接合材料(接合技術)」の第3回です。 eetimes.itmedia.co.jp パワーデバイスの半導体材料にワイドバンドギ…

コラム「セミコン業界最前線」を更新。「3次元化するサブナノメートル時代のCMOSロジック」

PC Watch様から頂いておりますコラム「セミコン業界最前線」を更新しました。半導体研究開発コミュニティの恒例行事、国際電子デバイス会議(IEDM)のプレビュー解説(後編)です。非メモリ分野の注目講演を紹介しています。pc.watch.impress.co.jp 分野は「…

コラム「デバイス通信」を更新。「表面実装工程の省エネに寄与する低融点の鉛フリーはんだ」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。 シリーズ「2022年度版 実装技術ロードマップ」の第54回となります。 「接合材料(接合技術)」の第2回です。 eetimes.itmedia.co.jp 表面実装技術(SMT)用の接合材料、特に低融点…

コラム「セミコン業界最前線」を更新。「次世代DRAMの研究開発成果が続出する12月開催のIEDM 2023」

PC Watch様から頂いておりますコラム「セミコン業界最前線」を更新しました。半導体研究開発コミュニティの恒例行事、冬の国際学会(IEDMとISSCC)が始まります。まずは12月9日に始まるIEDM(国際電子デバイス会議)のプレビュー解説(前編)です。pc.watch.…

コラム「デバイス通信」の連載を再開。「エレクトロニクスの進化を後押しする接合技術」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。 シリーズ「2022年度版 実装技術ロードマップ」の連載を再開しました。第53回となります。新テーマに入ります。 新しいテーマは「接合材料(接合技術)」です。eetimes.itmedia.co.…

コラム「ストレージ通信」を続けて更新。「HDD大手Western Digitalの業績、5四半期ぶりに売上高が増加」

EETimes Japan様から頂いておりますコラム「ストレージ通信」を続けて更新しました。 HDD大手2社、すなわちSeagateとWDの四半期業績が公表されたので、まとめ記事を上梓しております。 前回はSeagate編でした。今回はWD編です。eetimes.itmedia.co.jp売り上…

コラム「ストレージ通信」を久々に更新。「HDD大手Seagateの四半期業績、7期連続で前期比の売上高が減少」

EETimes Japan様から頂いておりますコラム「ストレージ通信」を久々に更新しました。 HDD大手2社、すなわちSeagateとWDの四半期業績が公表されたので、まとめ記事を上梓しております 今回はSeagate編です。相変わらず厳しいです(泣)。eetimes.itmedia.co.j…

コラム「セミコン業界最前線」を更新。「2010年代後半以降のDRAMトレンド、微細化と記憶密度に関する偽説のカラクリを暴く」

PC Watch様から頂いておりますコラム「セミコン業界最前線」を更新しました。前回の続きとなります。pc.watch.impress.co.jp DRAMの開発トレンド解説、今回は2010年代後半から2020年代前半(つまり現在)までを扱っています。最初は読者を騙すグラフの事例を…

コラム「デバイス通信」を更新。「コンピューティングと計測・センシングの限界を打破する量子技術(後編)」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。 シリーズ「2022年度版 実装技術ロードマップ」の第52回です。eetimes.itmedia.co.jp第6節「新技術・新材料・新市場」の第4テーマ「量子技術」の概要を前後編で説明しております。 …

コラム「セミコン業界最前線」を久々に更新。「DRAMの進化は容量か、それとも速度か。基本から振り返る」

PC Watch様から頂いておりますコラム「セミコン業界最前線」を久々に更新しました。8月28日 affiliate-with.hatenablog.com 以来です。この間、クラウドファンディングの取材成果発表会の準備と発表、クラウドファンディングの御礼手続き、新規の依頼業務な…

コラム「デバイス通信」を更新。「コンピューティングと計測・センシングの限界を打破する量子技術(前編)」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。 シリーズ「2022年度版 実装技術ロードマップ」の第51回です。eetimes.itmedia.co.jp第6節「新技術・新材料・新市場」の第4テーマ「量子技術」の概要を前後編で説明しております。 …

コラム「デバイス通信」を更新。「拡大を続けるロボット市場」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。 シリーズ「2022年度版 実装技術ロードマップ」の第50回です。eetimes.itmedia.co.jp 第6節「新技術・新材料・新市場」の第3テーマ「ロボット」の概要を説明しております。ロボット…

コラム「デバイス通信」を更新。「独自の進化を遂げるスマートフォン向けディスプレイ」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。 シリーズ「2022年度版 実装技術ロードマップ」の第49回です。 eetimes.itmedia.co.jp 第6節「新技術・新材料・新市場」の第2テーマ「次世代ディスプレイ」から、「タブレット・ス…

コラム「デバイス通信」を更新。「液晶と有機ELが高画質化で競り合うテレビ用ディスプレイ(後編)」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。 シリーズ「2022年度版 実装技術ロードマップ」の第48回です。eetimes.itmedia.co.jp実装技術ロードマップ(書籍)の第2章「注目される市場と電子機器群」から、 第6節「新技術・新…

コラム「デバイス通信」を更新。「液晶と有機ELが高画質化で競り合うテレビ用ディスプレイ(前編)」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。 シリーズ「2022年度版 実装技術ロードマップ」の第47回です。eetimes.itmedia.co.jp実装技術ロードマップ(書籍)の第2章「注目される市場と電子機器群」から、 第6節「新技術・新…

コラム「デバイス通信」を更新。「大型ディスプレイの品質を極限まで高めるマイクロLED(後編)」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。 シリーズ「2022年度版 実装技術ロードマップ」の第46回です。実装技術ロードマップ(書籍)の第2章「注目される市場と電子機器群」から、 第6節「新技術・新材料・新市場」の内容…

コラム「デバイス通信」を更新。「超大型ディスプレイの品質を極限まで高めるマイクロLED(前編)」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。 シリーズ「2022年度版 実装技術ロードマップ」の第45回です。実装技術ロードマップ(書籍)の第2章「注目される市場と電子機器群」から、 第6節「新技術・新材料・新市場」の内容…

コラム「デバイス通信」を更新。「フラットパネルディスプレイの進化を支えるデバイスの基礎」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。 シリーズ「2022年度版 実装技術ロードマップ」の第44回です。実装技術ロードマップ(書籍)の第2章「注目される市場と電子機器群」から、 第6節「新技術・新材料・新市場」の内容…

コラム「デバイス通信」を更新。「化石燃料を使わない発電技術の動向」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。 シリーズ「2022年度版 実装技術ロードマップ」の第43回です。実装技術ロードマップ(書籍)の第2章「注目される市場と電子機器群」から、 第6節「新技術・新材料・新市場」の内容…

コラム「デバイス通信」を更新。「地球温暖化で変革を迫られるエネルギーの需給構造」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。 シリーズ「2022年度版 実装技術ロードマップ」の第42回です。実装技術ロードマップ(書籍)の第2章「注目される市場と電子機器群」から、 第6節「新技術・新材料・新市場」の内容…

コラム「デバイス通信」を更新。「モビリティーの電動化で電磁ノイズの発生源が増加」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。 シリーズ「2022年度版 実装技術ロードマップ」の第41回です。実装技術ロードマップ(書籍)の第2章「注目される市場と電子機器群」から、 第5節「モビリティー」の内容紹介に入り…

コラム「デバイス通信」を更新しました。「航空機の温室効果ガス削減と電動化」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。 シリーズ「2022年度版 実装技術ロードマップ」の第40回です。実装技術ロードマップ(書籍)の第2章「注目される市場と電子機器群」から、 第5節「モビリティー」の内容紹介に入り…

コラム「セミコン業界最前線」を更新。「Western Digitalが明らかにする3D NANDフラッシュの「不都合な真実」」

PC Watch様から頂いておりますコラム「セミコン業界最前線」を更新しました。フラッシュメモリと応用品に関する世界最大のイベント「フラッシュメモリサミット(FMS)」からキーノート講演の紹介記事第3報です。 第1報はSK hynixです。第2報はキオクシア。今…

コラム「デバイス通信」を更新。「充電時間「ゼロ」を実現するバッテリ交換システム」

EETimes Japan様から頂いておりますコラム「デバイス通信」を更新しました。 シリーズ「2022年度版 実装技術ロードマップ」の第39回です。実装技術ロードマップ(書籍)の第2章「注目される市場と電子機器群」から、 第5節「モビリティー」の内容紹介に入り…

コラム「デバイス通信」を久々に更新。「電気自動車の将来を左右する充電インフラ(後編)」

EETimes Japan様から頂いておりますコラム「デバイス通信」を久々に更新しました。 7月31日以来です。シリーズ「2022年度版 実装技術ロードマップ」の第38回です。 実装技術ロードマップ(書籍)の第2章「注目される市場と電子機器群」から、 第5節「モビリ…